Binary sprog bruges i computer programmering til at skabe software eller kode programmer til Windows Visual Basic og Windows-operativsystemet. Binære vektorer kan have flere værdier, der kan være forvirrende og føre til flere kodning vinduer på din computer. Hvis du ønsker at konvertere dine binære vektorer i en varm input sæt, kan du gøre det med en kode via computerens terminal eller kommandoprompt. Når projektet er gennemført , vil du være i stand til at konvertere din binære vektor mux i en varm linje. Instruktioner
1
Åbn din terminal eller kommandoprompt via " Programmer " liste på din " Start" knappen
2
Type: .
Bibliotek IEEE , bruge ieee.std_logic_1164.all , anvendelse ieee.numeric_std.all ,
enhed onehot_binary iSport ( onehot_in : i std_logic_vector ; binary_out : out std_logic_vector ) beginassert 2 ** binary_out'length = onehot_in'length sværhedsgrad fiasko;
ende,
arkitektur RTL af onehot_binary er
funktion one_hot_to_binary ( One_Hot : std_logic_vector , size: naturlige) tilbagevenden std_logic_vector er
variabel Bin_Vec_Var : std_logic_vector ( size- 1 downto 0);
begynder
Bin_Vec_Var : = ( andre = > '0 ');
for jeg i One_Hot'range loopif One_Hot (I) = '1 ' thenBin_Vec_Var : = Bin_Vec_Var eller std_logic_vector ( to_unsigned (I, størrelse )), end hvis , end loop tilbagevenden Bin_Vec_Var , end funktion
begynder
binary_out <= one_hot_to_binary ( onehot_in , binary_out'length ),
ende,
3
Tryk på " Enter" -knappen for at erstatte de binære muxes på dit system til et varmt bly input < . br >